這些文章純粹是自己做筆記,所以應該有很多地方不會寫得很詳細
Reference
這邊關於SystemVerilog的文章,都是看這個教學網站的筆記:
https://www.chipverify.com/systemverilog/systemverilog-tutorial
練習的範例基本上跟網頁差不多,就都是參考網頁範例自己寫code做練習
EDA
EDA是在windows環境,使用ModelSim Starter Edition版本,可以自己去Intel官網下載免費版,好像只要code不要超過10000行就可以了,夠練習用了
安裝完成後照著User Manual的Basic Steps for Simulation章節Collect Files and Map Libraries
選定一個資料夾下用command line輸入指令來創造Logical Library$vlib work$vmap work work
然後用vlog指令compile$vlog tb_top.sv d_ff.sv
接著用vsim指令跑模擬$vsim -c tb -do "run -all; quit -f"$vsim -c tb -do "run 10000; quit -f"